期刊鉴别 论文检测 免费论文 特惠期刊 学术答疑 发表流程

基于DDS+PLL一种快速跳频频率合成电路的设计与实现

时间:2015-04-03 11:23 文章来源:http://www.lunwenbuluo.com 作者:王占永 点击次数:

  1 引言 

  频率合成技术在现代通信中的应用越来越广,由于其在电子设备中的关键作用,常被喻为电子设备的“心脏”,因此在实际应用中,频率合成技术在频段覆盖、换频时间、相位噪声、杂散、抗干扰能力等方面要求越来越高。本文结合DDS(Direct Digital Synthesizer,直接数字式频率合成器)具有频率转换快、分辨率高、相位噪声低以及PLL(Phase Locked Loop,锁相环)具有工作频率高、频谱质量好的优点,提出一种基于DDS+PLL的快速跳频频率合成电路设计[1]。 

  2 原理 

  DDS+PLL频率合成器原理框图如图1所示。 

  由图1可知,DDS+PLL方案比一般的PLL回路增加了1个DDS环节,毕业论文格式VCO的一路输出fvco经过分频后作为DDS的参考时钟clkref,可知DDS的输出频率fdds与其输入的参考时钟clkref的关系为: 

  ,0≤FTW≤2N-1 (1) 

  其中,FTW是频率控制字;N是相位累加器的长度。当环路锁定时,DDS的输出频率fdds与参考晶振的频率相等,相位累加器的长度N是由选定器件决定的已知参数,当要求输出某个频率fvco时,只需要对FTW根据上面的关系式进行相应的控制即可。 

  DDS+PLL方案将DDS和PLL优点相结合。此方案采用了DDS分辨率高的特点,鉴相频率不受射频输出信道间隔的限制,解决了PLL的频率分辨率和换频时间之间的矛盾。DDS对PLL合成的重大改善在于其系统的相位噪声性能主要决定于参考晶体振荡器。而PLL解决了DDS频率上限不够、高功耗等问题,同时回路的窄带滤波降低了DDS的杂散及近端相位噪声[2-3]。 

  3 电路指标要求与设计 

  3.1 指标要求 

  (1)输出频率:30—1 000MHz; 

  (2)相位噪声:≤-75dBc/Hz@10kHz; 

  (3)杂散:≤-50dBc; 

  (4)跳频速度:≤500μs; 

  (5)输出功率:16dBm±3dB。 

  3.2 方案设计 

  方案原理框图如图2所示。 

  图2中,参考信号使用19.2MHz的温补晶体振荡器(TCXO),参考信号分为两路。一路供给PLL1作为其参考时钟,PLL1输出频率为定点2 300MHz,滤波之后经过放大、衰减后作为混频器射频信号;另一路供给PLL2作为其参考时钟,PLL2输出频率为定点400MHz,定点400MHz的信号作为DDS的工作时钟,DDS输出信号频率为36.5—56.875MHz。DDS的输出信号经过带通滤波器滤波之后供给PLL3,PLL3的输出频率为2 330—3 300MHz,其输出频率作为混频器的本振信号与PLL1所产生的射频信号混频产生所需的30—1 000MHz信号。 

  本电路中PLL1和PLL2是单点频率输出,在系统启动初始化后,PLL1、PLL2分别锁定在2 300MHz和400MHz,只要通过更改DDS的控制字,改变其供给PLL3的频率即可使PLL3锁定在所需要的频率。 

  (1)PLL1电路设计 

  PLL1电路产生频率为2 300MHz的固定频点信号,作为混频射频信号。本电路选择AD公司的一款高性能集成锁相环芯片ADF4360-1。ADF4360-1主要由数字鉴相器、电荷泵、计数器和双模前置P/(P+1)分频器等组成。由于其内部有集成锁相环,因此只需在外部设计合适的环路滤波器就可以得到所需的输出频率。环路滤波器采用AD公司提供的sim PLL软件进行仿真,依照软件提示,逐步设定相应参数即可。ADF4360-1功能框图如图3所示。 

  (2)PLL2电路设计 

  PLL2芯片采用AD公司的ADF4360-8。ADF4360-8由可编程参考计数器、数据寄存器、功能锁存器、压控振荡器、相位比较器、锁定检测器及电荷泵等组成。工作参考时钟最高位250MHz,输出频率范围为65—400MHz,其输出功率可调且控制简单。工作时,对ADF4360-8加电配置顺序位为:工作时钟→计数锁存器→数据锁存器→功能锁存器。如果配置顺序不对,可能会导致器件工作异常。ADF4360-8功能框图如图4所示。 

  (3)PLL3电路设计 

  PLL3电路选择AD公司的ADF4107。ADF4107由数字相位/频率检波器、充电泵、可编程计数器、前置配置器、分频器等组成,其需要外部的VCO和环路滤波器组成锁相环。PLL3电路输出频率范围为2 330—3 300MHz,其输出信号将作为混频电路的本振信号。ADF4107功能框图如图5所示。 

  (4)DDS电路设计 

  DDS电路设计选用ADI推出的低成本、低功耗的直接数字频率合成AD9956芯片,其具有以下特点[4]: 

  ◆将DDS的跳频、调相技术和PLL的上变频能力结合起来,使DDS性能有效地映射到UHF领域; 

  ◆工作时钟可达400MHz; 

  ◆14位高速D/A,无杂散动态范围好(80dB@ 

  160MHz),相位噪声低(≤-135dBc/Hz@1kHz); 

  ◆48比特的频率控制字,分辨率可达百万分之一赫兹; 

  ◆采用串行I/O控制方式,串行速率可达25Mbit/s; 

  ◆核电压为1.8V,端口电压为3.3V。 

  AD9956功能框图如图6所示。 


  •   论文部落提供核心期刊、国家级期刊、省级期刊、SCI期刊和EI期刊等咨询服务。
  •   论文部落拥有一支经验丰富、高端专业的编辑团队,可帮助您指导各领域学术文章,您只需提出详细的论文写作要求和相关资料。
  •  
  •   论文投稿客服QQ: 论文投稿2863358778 论文投稿2316118108
  •  
  •   论文投稿电话:15380085870
  •  
  •   论文投稿邮箱:lunwenbuluo@126.com

联系方式

  • 论文投稿客服QQ: 论文投稿2863358778
  • 论文投稿客服QQ: 论文投稿2316118108
  • 论文投稿电话:15380085870
  • 论文投稿邮箱:lunwenbuluo@126.com

热门排行

 
QQ在线咨询
咨询热线:
15380085870
微信号咨询:
lunwenbuluoli